2021年全球和中国CVD设备发展现状分析,国产化率仍有较大提升空间「图」

一、CVD设备产业概述

1、产业地位

根据工作原理的不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和其他。薄膜沉积工艺不断发展,根据不同的应用演化出了PECVD、溅射PVD、ALD、LPCVD等不同的设备用于晶圆制造的不同工艺。

CAD设备产业属于薄膜沉积技术的关键组成

CAD设备产业属于薄膜沉积技术的关键组成

资料来源:公开资料整理

2、发展历程及分类状况

晶圆制造工艺不断走向精密化,芯片结构的复杂度也不断提高,需要在更微小的线宽上制造,制造商要求制备的薄膜品种随之增加,最终用户对薄膜性能的要求也日益提高。CVD发展历程而言,相比传统的APCVD、LPCVD设备,PECVD设备已成为芯片制造薄膜沉积工艺中运用最广泛的设备种类,未来HDPCVD、FCVD应用有望增加。ALD设备亦有望在14nm及以下制程逻辑芯片、17nm及以下DRAM芯片中得到更广泛应用;

CVD发展历程及分类对比

CVD发展历程及分类对比

资料来源:公开资料整理

二、CAD设备技术背景

线宽向7纳米及以下制程发展,当前市场普遍使用的光刻机受波长的限制精度无法满足要求,需要采用多重曝光工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得薄膜沉积次数显著增加。在90nm CMOS工艺,大约需要40道薄膜沉积工序。在3nm FinFET工艺产线,需要超过100道薄膜沉积工序,对于薄膜颗粒的要求也由微米级提高到纳米级。薄膜沉积设备用量方面,以中芯国际为例,一条1万片产能的180nm 8寸晶圆产线CVD设备用量平均约为9.9台,而一条1万片90nm 12寸晶圆产线CVD设备用量可达42台。

中芯国际不同制程CVD设备需求量

中芯国际不同制程CVD设备需求量

资料来源:公开资料整理

CVD按照沉积条件的条件又可以分为PECVD、LPCVD、APCVD、SACVD(次常压CVD)等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。PECVD由于等离子体作用,化学反应温度明显降低,薄膜纯度和致密度得到加强,在从亚微米到90nm往下的发展中扮演了重要角色,目前也是应用最广、占比最大的

当前主流CVD技术对比

当前主流CVD技术对比

资料来源:公开资料整理

三、CVD设备产业现状

1、全球市场规模

就全球CVD设备市场规模变动而言,2019年受下游需求下降整体半导体景气度下降影响,CVD设备需求出现较大幅度下滑,2020年以来5G带动智能手机需求回暖,整体半导体设备产业需求回升,2020年CVD设备规模达85亿美元。随着汽车电子和物联网整体持续发展,半导体需求持续提升,预计2024年市场规模将达到105亿美元。

2018-2024年中国CVD设备市场规模及预测2018-2024年中国CVD设备市场规模及预测

资料来源:公开资料整理

2、中国市场规

就国内CVD市场现状而言,国内目前薄膜沉积设备整体市场规模表现为快速增长趋势, CVD设备作为主要组成技术受制于国际企业,2021年市场规模达33亿美元左右,随着国际局势持续趋紧,半导体设备整体国产化趋势加速,CVD有望受益规模引来较快增长。

2018-2024年中国CVD市场规模及预测2018-2024年中国CVD市场规模及预测

资料来源:公开资料整理

相关报告:华经产业研究院发布的《2022-2027年中国CVD设备市场规模预测及投资战略咨询报告》;

3、市场结构

就目前全球CVD设备组成结构而言,PECVD设备在相对较低的反应温度下形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的薄膜沉积速度,2020年占比全球CVD设备46.9%左右,ALD也随着先进制程需求持续增长占比有所提升,目前达17.7%左右。

2020年全球CVD设备市场结构占比情况2020年全球CVD设备市场结构占比情况

资料来源:公开资料整理

四、CVD设备竞争格局

1、市场集中度

全球CVD市场上,应用材料占据龙头地位,市场份额28%,其次是泛林半导体和东京电子,市场集中度较高。国内市场上,北方华创的LPCVD,以及沈阳拓荆的PECVD,已通过主流晶圆代工厂验证,开始进行小批量生产交付。ALD领域作为新兴领域,国内公司与国外巨头起步时间较为接近,国外公司技术壁垒并不高,国内公司在国内晶圆厂有一定渗透率。

2020年全球CVD设备市场竞争格局

2020年全球CVD设备市场竞争格局

资料来源:公开资料整理

此前CVD设备国内无论是相关学科教育基础、具备从业经验的人才还是下游产线的发展程度都不及海外,因此国产厂商相对落后于海外龙头,但近年随着下游产线持续壮大以及加速导入国产供应商,以及国产厂商本身在技术、专利、经验等多方面不断突破,也逐渐有拓荆科技、北方华创、盛美上海等优质厂商在部分领域取得进展、部分实现国产替代。

国内CVD设备厂商对比

国内CVD设备厂商对比

资料来源:公开资料整理

2、拓荆科技

拓荆科技主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。2018-2021年9月拓荆科技PECVD产量分别为9台、22台、50台和49台,销量分别为4台、19台、31台和23台,对应营收分别为0.517亿元、2.477亿元、4.182亿元和3.228亿元,测算每台PECAD价格约在1300万以上,主要客户为中芯国际、华虹集团、长江存储、重庆万国半导体科技有限公司。

2018-2021年9月拓荆科技CVD设备营收

2018-2021年9月拓荆科技CVD设备营收

资料来源:拓荆科技招股书,华经产业研究院

五、薄膜沉积设备整体国产化现状

从目前薄膜沉积设备招标情况来看整体国产化进程,2020年我国三大晶圆厂薄膜沉积设备总采购量分别为107和206台,整体需求出现较大幅度增长,但整体国产企业供给仅分别为20台和35台,分别占比18.7%和17%。表明目前薄膜沉积领域整体国产化水平仍较低,且在需求大幅度增长时,企业供给无法维持。

2020-2021年中国三大晶圆厂薄膜沉积国产化进程

2020-2021年中国三大晶圆厂薄膜沉积国产化进程

注:中芯国际(绍兴)、长江存储、华虹宏力(包括华虹半导体、上海华力)

资料来源:采招网,华经产业研究院整理

从主要晶圆厂薄膜沉积设备中标情况而言,2021年拓荆科技和北方华创分别中标13台和9台,盛吉盛也有2台。目前国内薄膜沉积设备企业整体企业入局持续增加,带动我国薄膜沉积设备国产化进程加速,加之下游需求有望受益汽车电子和物联网产业快速增长,国产薄膜沉积设备规模有望快速扩张。

2021年中国三大晶圆厂薄膜沉积设备中标分布

2021年中国三大晶圆厂薄膜沉积设备中标分布

资料来源:采招网,华经产业研究院整理

六、CVD发展趋势

国内也有其他厂商在IC制造用薄膜沉积设备有一定布局和突破,但整体上在CVD和ALD设备领域实现规模量产的厂商寥寥无几。具体来看,国内同行北方华创在PVD设备优势明显,AP/LPCVD设备也有一定竞争力,ThermalALD也有较好突破;而中微公司和盛美上海则也在积极布局CVD和ALD领域,具体细分领域突破程度不一。

本文采编:CY1253

推荐报告

2024-2030年中国CVD设备行业市场全景评估及投资前景展望报告

2024-2030年中国CVD设备行业市场全景评估及投资前景展望报告,主要包括行业竞争形势及策略、领先企业经营形势分析、投资前景、研究结论及投资建议等内容。

如您有个性化需求,请点击 定制服务

版权提示:华经产业研究院倡导尊重与保护知识产权,对有明确来源的内容均注明出处。若发现本站文章存在内容、版权或其它问题,请联系kf@huaon.com,我们将及时与您沟通处理。

人工客服
联系方式

咨询热线

400-700-0142
010-80392465
企业微信
微信扫码咨询客服
返回顶部
在线咨询
研究报告
商业计划书
项目可研
定制服务
返回顶部